Toward kilo-instruction processors

نویسندگان
چکیده

برای دانلود باید عضویت طلایی داشته باشید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Kilo-instruction Processors

Due to the difference between processor speed and memory speed, the latter has steadily appeared further away in cycles to the processor. Superscalar out-of-order processors cope with these increasing latencies by having more in-flight instructions from where to extract ILP. With coming latencies of 500 cycles and more, this will eventually derive in what we have called Kilo-Instruction Process...

متن کامل

Different approaches using Kilo-instruction Processors

Kilo-instruction processors are a new overall design paradigm to overcome the memory wall problem in superscalar processors. Its philosophy is based on maintaining thousands of in-flight instructions in a scalable and efficient manner using checkpointing mechanisms. This novel paradigm opens a large number of new topics combining other system-level architecture techniques for ongoing research. ...

متن کامل

Implicit Transactional Memory in Kilo-Instruction Multiprocessors

Although they have been the main server technology for many years, multiprocessors are undergoing a renaissance due to multi-core chips and the attractive scalability properties of combining a number of such multi-core chips into a system. The widespread use of multiprocessor systems will make performance losses due to consistency models and synchronization styles of popular programming models ...

متن کامل

Instruction Scheduling for Instruction Level Parallel Processors

Nearly all personal computer and workstation processors, and virtually all high-performance embedded processor cores, now embody instruction level parallel (ILP) processing in the form of superscalar or very long instruction word (VLIW) architectures. ILP processors put much more of a burden on compilers; without “heroic” compiling techniques, most such processors fall far short of their perfor...

متن کامل

Exploiting Execution Locality with a Decoupled Kilo-Instruction Processor

Overcoming increasing memory latency is one of the main problems that microprocessor designers have faced over the years. The two basic techniques introduced to mitigate latencies are caches and out-of-order execution. However, neither of these solutions is adequatefor hiding off-chip memory accesses in the order of 200 cycles or more. Theoretically, increasing the size of the instruction windo...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

ژورنال

عنوان ژورنال: ACM Transactions on Architecture and Code Optimization

سال: 2004

ISSN: 1544-3566,1544-3973

DOI: 10.1145/1044823.1044825